ghdl

Open-source simulator for the VHDL language. More information: http://ghdl.free.fr. Analyze…

ghdl

Open-source simulator for the VHDL language. More information: http://ghdl.free.fr.

ghdl -a {{filename.vhdl}}

ghdl -e {{design}}

ghdl -r {{design}}

ghdl -r {{design}} --wave={{output.ghw}}

ghdl -s {{filename.vhdl}}

ghdl --help

Также может быть вам интересно:
КодТрюки BashНастройкиТерминал/Консоль
← ghcup gh-environment →